電子產(chǎn)業(yè)一站式賦能平臺

PCB聯(lián)盟網(wǎng)

搜索
查看: 23|回復(fù): 0
收起左側(cè)

先進半導(dǎo)體封裝的趨勢與技術(shù)

[復(fù)制鏈接]

442

主題

442

帖子

3245

積分

四級會員

Rank: 4

積分
3245
跳轉(zhuǎn)到指定樓層
樓主
發(fā)表于 2024-9-13 08:03:00 | 只看該作者 |只看大圖 回帖獎勵 |倒序瀏覽 |閱讀模式
引言
+ d0 ^0 G: g$ Z半導(dǎo)體行業(yè)正在快速發(fā)展,主要由多個應(yīng)用領(lǐng)域?qū)Ω咝阅堋⒏凸暮托⌒突男枨篁?qū)動。先進封裝技術(shù)在滿足這些需求方面發(fā)揮著關(guān)鍵作用,通過實現(xiàn)多樣化組件的異構(gòu)集成。本文以參考文獻為基礎(chǔ)概述了先進半導(dǎo)體封裝的主要趨勢和技術(shù)[1],非最新的信息,但可以見到技術(shù)的連續(xù)演進,當(dāng)年的預(yù)測依然正確。! O0 M! U4 R% d. Q. I  h

3 r. s" V7 R- F! r
$ M  R) x' m9 ?8 k
驅(qū)動因素和應(yīng)用9 [- W& m, `& Z% M- L( u. l
推動半導(dǎo)體行業(yè)增長的幾個主要應(yīng)用包括:( q% T* i3 t3 S( w$ V7 r
  • 移動設(shè)備
  • 高性能計算
  • 自動駕駛汽車
  • 物聯(lián)網(wǎng)(IoT)
  • 大數(shù)據(jù)和云計算
  • 邊緣計算9 N4 ^7 h. Z1 X6 P4 [& u0 C
    0 e2 [9 ^9 k( ]! v. W- G! s5 J
    這些應(yīng)用由人工智能和5G通信等系統(tǒng)技術(shù)驅(qū)動因素推動。為支持這些應(yīng)用,先進封裝技術(shù)必須提供:3 E8 l& G# k$ ^
  • 更高密度的集成
  • 改善電氣和熱性能
  • 降低成本
  • 加快上市時間! k" y/ D4 s9 H5 V: ^
    : Y6 `* b, u- U+ `% I

    $ \, W3 |  P. l) s& `
    0 g7 _0 U) m8 E0 X圖1:各種先進封裝技術(shù)的性能和密度比較1 G0 J5 |5 D0 Z% o) e" m
    ' V" \( B, e" B2 P& M
    主要先進封裝技術(shù)
    $ `5 ?+ b$ A8 Y; U4 X1. 扇出型晶圓級封裝(FOWLP)7 k: w1 Z' [. k+ e5 z) S
    FOWLP通過將芯片嵌入模塑料中并形成重布線層(RDL)來擴展傳統(tǒng)的晶圓級封裝,從而扇出連接。這允許在更小的形狀因子中實現(xiàn)更高的I/O密度。% U. @8 d* l( ?# f7 b5 _- n! R; e

    9 }# Y- \- n8 F1 z 0 \  W4 d9 s! T) L
    圖2:采用芯片優(yōu)先、面朝下方法的扇出型晶圓級封裝橫截面
    6 l, \+ @& e: N( @  ?; ~, v$ i
    - g! U' k: M, U2. 使用中介層的2.5D集成
    1 a& @; m1 r) k8 n- `* B2.5D集成使用帶有硅通孔(TSV)的硅中介層來連接多個并排的芯片。這實現(xiàn)了高帶寬的芯片間連接。
    0 J- N  M6 d$ `0 H' S
    3 g$ f) H: @  ]" r; J$ t7 y
    3 ]' o8 P1 B; J+ f8 j& C7 ]圖3:臺積電的局部硅互連(LSI)技術(shù),用于2.5D集成  ~$ N$ ?5 y1 ]$ ?) n

    * S) U7 `7 f6 {+ N; P& K3. 使用TSV的3D集成, ~/ i9 V7 E  @. ~
    3D集成使用TSV垂直堆疊多個芯片進行芯片間連接。這提供了最高的集成密度,但面臨熱管理和良率方面的挑戰(zhàn)。: z9 B* j+ |- F- h9 \0 g! s

    " ~7 R, W* M% v" ~; r* z4. Chiplet架構(gòu)& H2 U+ B9 e5 c  L
    Chiplet涉及將大型系統(tǒng)級芯片(SoC)設(shè)計分割成更小的芯片,然后使用先進封裝進行集成。這改善了良率并允許混合使用不同的制程節(jié)點。
    ' R0 N0 k; W% w+ ^) r9 O
    ) {8 U) F# v1 P: T$ }9 K" a8 J - C3 H) \, m8 w$ r7 H
    圖4:AMD和英特爾基于Chiplet的處理器示例
    / {: c. c4 N% ^( [8 _3 B1 u; f$ D2 N( Z2 o
    5. 混合鍵合
    # I6 n2 Z% o: Y0 ]$ S1 G混合鍵合實現(xiàn)了芯片之間在非常精細(xì)間距下直接銅對銅鍵合,無需使用微凸點。這為芯片到芯片的集成提供了最高的互連密度。+ Q7 m% r( o% x  L9 G( ^2 w) a
    8 T* e2 S% K1 M  o3 l
    圖5:微凸點鍵合和混合鍵合方法的比較* Z9 n, D, J; n
    ) {) F8 n' T6 v1 Q/ c/ @
    關(guān)鍵封裝工藝
    2 B4 a4 k- m0 Z- Z- L1 a幾種關(guān)鍵工藝技術(shù)促進了先進封裝:
    4 [7 P% L  T; R7 k7 O1. 晶圓凸點制作' L8 E' r* G0 v: M
    晶圓凸點制作在芯片切割之前在晶圓上形成互連結(jié)構(gòu)。常見的凸點類型包括:5 o$ w, H1 l, C& V  O/ Q
  • 焊料凸點(C4)
  • 帶焊料帽的銅柱(C2)0 K* m% Z; G4 u) z: j
    / `/ ]0 {* s, r+ ?* B5 l$ x4 E

    1 z! U$ l) |+ s' w' a6 Q圖6:C4和C2晶圓凸點制作的工藝流程8 G% l. b- b! c
    , C8 a9 b  a8 ]+ ?; ^
    2. 芯片貼裝和互連$ p* o% y0 r- B, Y
    將芯片連接到基板或其他芯片的方法包括:0 R( O8 d- v6 g4 H8 X1 ?9 K
  • 焊料凸點的回流
  • 熱壓鍵合(TCB)
  • 混合鍵合
    4 {( L9 |8 p  y1 _# l
    2 H8 D0 ]- z8 o2 t. ]4 O) c
    3. 底填/ u$ R+ l: F1 B4 A$ W5 `
    底填材料被注入以填充芯片和基板之間的間隙,保護互連。
      l, D/ z& ^6 k9 y  }- [. D$ R9 W( W
    4. 重布線層(RDL)形成
    ; @( n6 X+ i( l  eRDL在芯片表面重新布線連接。主要RDL工藝包括:
    ' _& s/ O7 R) |5 {
  • 光刻
  • 電鍍
  • 蝕刻8 J$ P8 ]2 J, P! e
    ' K' z0 Y; d# j$ p5 O7 `* u0 c
    5. 模塑
    , R, I' P& c' j: W/ r+ h* ^0 G模塑料封裝芯片和互連以提供保護。方法包括:- b2 z. l: q, N* N+ f: Y  d
  • 傳遞模塑
  • 壓縮模塑0 z) F$ O- e3 m3 ~- b  @8 @* \

    # Q( m* y/ ]/ W, U先進封裝趨勢  b& ^) I% w* I5 S  ^
    1. 更精細(xì)的互連間距
    ; R- e' [. V; O. Z/ F7 W* n互連間距持續(xù)縮小以實現(xiàn)更高密度的集成:. K8 j! t' S! w! V0 O
    翻轉(zhuǎn)芯片凸點間距:最小50μm$ t: T7 d9 `, }+ H/ z8 A
    微凸點間距:最小20μm* E  y1 Q2 g2 E. d2 ?# k
    混合鍵合間距:
    ! j! z: f* ?, @2 K7 ?, k5 F# ~

    7 `) t- t, |; _$ e; ~  G: l2. 面板級封裝
    " C9 u4 Z+ L& o3 o$ ^從晶圓級到面板級處理的轉(zhuǎn)變實現(xiàn)了更大的制造規(guī)模和更低的成本。2 _  {) x9 i+ B! E& s5 y8 q) w

    4 h- S1 o, L7 T9 T; E1 k) d* }0 e3. 先進基板
    7 x. a! W6 G- x+ Z7 h具有精細(xì)線/空間和嵌入式元件的有機基板正在實現(xiàn)更高密度的封裝。9 N7 O5 r& R& _8 W! L: C

    & B% T' T8 C+ l! ?! t# g- i. ?4. Chiplet集成7 d0 n3 M0 c$ S' }4 V
    作為單片SoC的替代方案,Chiplet的異構(gòu)集成正在增長。
    0 S9 t* D+ ^& n& a7 G1 J5 L+ P: b( f6 ?* C
    5. 光電共封裝 (Co-Packaged Optics)
    2 U7 H8 M$ e$ z4 _* ]; R& j% s在封裝中集成光學(xué)元件正在實現(xiàn)更高帶寬的互連。7 `6 z- v" S$ K- y; I6 B
    : k8 Y1 [. W: \$ g  t; i& r
    6. 先進熱管理
    3 o/ x+ Z1 Z, I$ a: i正在開發(fā)微流體等新型冷卻解決方案來解決熱挑戰(zhàn)。+ \" D' ]; I: P  o+ e7 p; t/ Y

    ) i+ R* P2 B/ H0 \) z; j

    ) ~) N- `0 l; x& o可靠性考慮: Z4 w' U8 c9 Z6 D4 b: J
    隨著封裝變得更加復(fù)雜,確?煽啃宰兊弥匾V饕煽啃詥栴}包括:
    : |+ h7 M7 @/ |8 C
  • 互連的熱循環(huán)疲勞
  • 跌落沖擊抵抗
  • 濕敏性
  • 電遷移
  • 應(yīng)力引起的翹曲
    # X7 ]$ `: v  y: c* L' j6 a
    - D. K1 ]2 c5 t" U
    需要先進的建模和測試方法來預(yù)測和改善封裝可靠性。; U4 L+ ^) I1 v' l
    $ w& r% j$ @7 k8 H* O+ \3 r- Y
    ( n- G4 ]! w/ Y8 B' ^/ F
    圖7:與單片設(shè)計相比,Chiplet方法對芯片良率的影響, R' U6 S: j. Y& z4 T
    , J! L/ @! Z1 O5 Z# @# @/ q
    材料開發(fā)
    % v' r0 b1 z( l# D9 ~0 G( \新材料對實現(xiàn)先進封裝很重要,包括:
    ; \6 F! J( }( }" A' d6 M
  • 用于高頻應(yīng)用的低損耗介電材料
  • 低熱膨脹系數(shù)模塑料
  • 精細(xì)間距底填材料
  • 低溫焊料
  • 用于RDL的光敏介電材料* w! `. e2 m" |9 m. W
    1 H! _! T+ X' Z& N% a; y6 `
    2 r3 M9 c8 W/ t7 c( r( K* B  ?

    ( Z- ]% W8 @- h( D) E4 j圖8:封裝材料介電損耗(Df)的路線圖/ C/ F9 l  P- Y  y* k7 `7 L
    * m4 W1 M" z9 b" T" a
    4 Z6 [/ Y. f! L$ _2 a: Z1 V
    圖9:封裝材料介電常數(shù)(Dk)的路線圖# o' Y; F  A2 s3 _( p' c
    ; v$ k$ O% ?: F$ r7 Q
    未來展望8 N4 E# P! {* t# ?( U" r
    先進封裝將繼續(xù)在推動半導(dǎo)體創(chuàng)新方面發(fā)揮關(guān)鍵作用。需要關(guān)注的關(guān)鍵領(lǐng)域包括:
    . k! J3 Y; H) T7 i
  • 晶圓級、面板級和PCB技術(shù)的融合
  • Chiplet和芯片分解的增加采用
  • 超越焊料和銅的新型互連技術(shù)
  • 芯片和封裝的協(xié)同設(shè)計
  • 石墨烯等新材料的集成
  • 嵌入式冷卻解決方案
  • 用于封裝設(shè)計和優(yōu)化的人工智能
    " e" A4 J, G$ _7 c
    9 y' J3 _, s; R% I5 v
    隨著封裝變得更加復(fù)雜并對整體系統(tǒng)性能更加重要,芯片設(shè)計師、封裝設(shè)計師和材料供應(yīng)商之間的更密切合作將變得不可或缺。
    . H5 s5 G4 t0 o1 M
    1 T) B' i2 j0 I7 Z5 W( _& T5 P

    . n. r% v4 ?& J7 t: b結(jié)論! O9 L9 f7 E' z1 f1 N* F9 w
    先進封裝正在快速發(fā)展以滿足下一代電子系統(tǒng)的需求。扇出型封裝、2.5D和3D集成以及Chiplet等技術(shù)正在實現(xiàn)前所未有的異構(gòu)集成水平。在材料、工藝和架構(gòu)方面持續(xù)創(chuàng)新對于克服挑戰(zhàn)和實現(xiàn)先進封裝在未來應(yīng)用中的全部潛力將非常重要。) a' Y% h' b; O7 Q
    " A( V" O7 ^4 \( t! J& w: z; f

    7 a8 l8 i, F6 w! \) B參考文獻& D: \, r: |! H+ x- h% \
    J. H. Lau, "Semiconductor Advanced Packaging," Singapore: Springer Nature Singapore Pte Ltd., 2021.
    % W* c6 D% Z( L. o7 w
    0 \& ?0 p2 T, s
    & {8 O2 O  P# p/ S
    1 k8 J8 z- q' Z' D4 E) K7 G6 J- END -
    + s9 w) @2 j% M' J( r9 S
    + E( B7 b1 b# l1 d) v5 z軟件申請我們歡迎化合物/硅基光電子芯片的研究人員和工程師申請體驗免費版PIC Studio軟件。無論是研究還是商業(yè)應(yīng)用,PIC Studio都可提升您的工作效能。
    7 X9 u/ C0 [. i7 ^點擊左下角"閱讀原文"馬上申請
    7 t" R. K, S4 Q6 n* d+ L0 I3 q- l$ F
    3 C* x/ S  @" a  t歡迎轉(zhuǎn)載" I3 c  e: R2 L5 i
      K8 j$ p, q% G& D- s) k. R! x
    轉(zhuǎn)載請注明出處,請勿修改內(nèi)容和刪除作者信息!
    8 y* c9 `! G# w0 M) @" K# }: A+ f+ @2 x, \; e8 A/ s( _
    & @6 q' F/ q) @9 y3 @! ]; u2 F1 ]
    + e1 g. ~9 z  ^( D" z- ?5 K
    ; Q0 @. Y1 t3 [" z# f) {" y
    ) V# @8 O" M" h. l0 ^1 q
    關(guān)注我們
    7 ^1 B: ]: w$ b; F" c9 ~! M' ^( K  E! K& l) @; d
    9 R; T+ K: p; Z
    0 ~  ^# E6 i5 q  v0 Z0 f

    " Q2 A3 F' c# O / k1 s* T+ d. X- l; S
    % F& o0 [4 t& T5 W& ^" M
    2 t, L" z1 Y2 J2 Q! [5 M' t% z
                         
    / `$ d# I  A6 a1 n( v9 k9 T3 D5 ^! g- Q* M* s! V
    ! q& ?3 W# p! r+ G4 c% ?5 }- U
    3 o% [8 ~$ K! A% E

    : c5 }  N$ j8 ?1 @/ V" H/ h
    . \& K, M0 c( \9 o: |
    + f: h! T3 {) q2 {1 \+ w$ r
    關(guān)于我們:
    ; E% m9 d( C5 w( `) q% ?8 k深圳逍遙科技有限公司(Latitude Design Automation Inc.)是一家專注于半導(dǎo)體芯片設(shè)計自動化(EDA)的高科技軟件公司。我們自主開發(fā)特色工藝芯片設(shè)計和仿真軟件,提供成熟的設(shè)計解決方案如PIC Studio、MEMS Studio和Meta Studio,分別針對光電芯片、微機電系統(tǒng)、超透鏡的設(shè)計與仿真。我們提供特色工藝的半導(dǎo)體芯片集成電路版圖、IP和PDK工程服務(wù),廣泛服務(wù)于光通訊、光計算、光量子通信和微納光子器件領(lǐng)域的頭部客戶。逍遙科技與國內(nèi)外晶圓代工廠及硅光/MEMS中試線合作,推動特色工藝半導(dǎo)體產(chǎn)業(yè)鏈發(fā)展,致力于為客戶提供前沿技術(shù)與服務(wù)。
    ; g& t: ?  L+ w& u+ o$ a% H
    " [& Q/ g/ v& F' Q( Khttp://www.latitudeda.com/6 y& s$ g# i, S; z8 a- a$ m
    (點擊上方名片關(guān)注我們,發(fā)現(xiàn)更多精彩內(nèi)容)
  • 回復(fù)

    使用道具 舉報

    發(fā)表回復(fù)

    您需要登錄后才可以回帖 登錄 | 立即注冊

    本版積分規(guī)則

    關(guān)閉

    站長推薦上一條 /1 下一條


    聯(lián)系客服 關(guān)注微信 下載APP 返回頂部 返回列表