電子產(chǎn)業(yè)一站式賦能平臺(tái)

PCB聯(lián)盟網(wǎng)

搜索
查看: 41|回復(fù): 0
收起左側(cè)

針對(duì)中性原子陣列的容錯(cuò)光互連

[復(fù)制鏈接]

443

主題

443

帖子

3290

積分

四級(jí)會(huì)員

Rank: 4

積分
3290
跳轉(zhuǎn)到指定樓層
樓主
發(fā)表于 2024-9-11 08:00:00 | 只看該作者 |只看大圖 回帖獎(jiǎng)勵(lì) |倒序?yàn)g覽 |閱讀模式
引言量子計(jì)算有望解決經(jīng)典計(jì)算機(jī)難以處理的復(fù)雜問題。然而,由于量子系統(tǒng)固有的噪聲和錯(cuò)誤,構(gòu)建大規(guī)模量子計(jì)算機(jī)仍然面臨重大挑戰(zhàn)。量子糾錯(cuò)(QEC)對(duì)于擴(kuò)展量子設(shè)備很重要,但需要以高保真度連接和控制大量物理量子比特。
7 X7 b+ A; h5 F1 J
% P  Z6 V: g  \近年來,中性原子陣列已成為量子計(jì)算的領(lǐng)先平臺(tái)。這些系統(tǒng)提供了對(duì)數(shù)百個(gè)量子比特的可編程控制、長相干時(shí)間和高保真度的里德伯門。最近的實(shí)驗(yàn)已經(jīng)展示了連續(xù)操作、控制多達(dá)48個(gè)邏輯量子比特,以及隨著編碼距離增加而降低錯(cuò)誤率。
8 ?  S/ C7 V2 l7 s5 T5 y
9 `  F, t6 i  d9 G本文探討了使用模塊化架構(gòu)和容錯(cuò)光子互連來擴(kuò)展中性原子量子計(jì)算機(jī)的方法。將討論容錯(cuò)通信的噪聲要求,提出高速量子鏈路的設(shè)計(jì),并分析通信速度和量子比特開銷之間的權(quán)衡。) {; c2 W; M, ?+ K
& E' w) }- k' v4 X$ C3 T) |
4 L7 q' z) J/ l
& R0 D+ c7 A1 w3 r8 o8 ?
容錯(cuò)通信要求0 u5 r. s5 ?6 P* s
模塊化量子架構(gòu)的關(guān)鍵挑戰(zhàn)是在模塊間的量子通信中實(shí)現(xiàn)足夠低的噪聲水平。之前的工作目標(biāo)是將網(wǎng)絡(luò)噪聲水平降低到1%以下,但最近的理論結(jié)果表明,表面碼可以容忍沿連接界面的更高噪聲水平。: s' V- O" c  \/ q
6 G8 Y  l$ y! n- Z& S: Q/ B

0 v2 I+ A: \% ]$ A/ ^* m圖1:展示了不同錯(cuò)誤模型的容錯(cuò)閾值。(a)顯示了邏輯失敗率如何隨里德伯門錯(cuò)誤率變化,對(duì)不同的編碼距離進(jìn)行了比較。(b)在里德伯門錯(cuò)誤和貝爾對(duì)錯(cuò)誤的空間中繪制了閾值曲線。
3 }1 Q& E2 r- _: f; t  }8 y# i$ Y5 O# E4 R4 j! `4 {7 f$ z, Q/ o& m
圖1展示了不同錯(cuò)誤模型的容錯(cuò)閾值。在圖1a中,可以看到邏輯失敗率如何隨里德伯門錯(cuò)誤率變化,對(duì)不同的編碼距離進(jìn)行了比較。實(shí)線顯示了體積和邊界錯(cuò)誤的綜合效果,而虛線和點(diǎn)線分別顯示了僅邊界和僅體積錯(cuò)誤的情況。: q# {, c1 z. U2 D1 {* z: K5 o

; ^7 I9 t+ D6 k0 I2 U圖1b在里德伯門錯(cuò)誤和貝爾對(duì)錯(cuò)誤的空間中繪制了閾值曲線。曲線下方和左側(cè)的點(diǎn)低于容錯(cuò)閾值。值得注意的是,分析表明,局部里德伯門錯(cuò)誤低于1%和非局部貝爾對(duì)錯(cuò)誤低于10%就足以進(jìn)行容錯(cuò)操作。* L% A4 i0 t/ A4 o! s) F
* {6 I" I! \3 K4 O
這種對(duì)通信錯(cuò)誤的更高容忍度使得現(xiàn)有原子陣列技術(shù)有可能實(shí)現(xiàn)錯(cuò)誤糾正模塊的容錯(cuò)連接。剩下的主要挑戰(zhàn)是開發(fā)足夠快速和高效的光子鏈路。1 m& `+ Y! A! f2 j' |# D9 r: U) x  s
+ G3 H2 H! v2 B! V0 i2 `
高速量子通信的模塊設(shè)計(jì)
1 S* y2 m% N: m4 i& ?3 [9 S為了達(dá)到必要的通信速度,探討了三種旨在高速生成貝爾對(duì)的模塊設(shè)計(jì):6 L0 \/ A: x/ K; b- i
1. 使用大數(shù)值孔徑透鏡的自由空間收集
# {& L! m) F' i& n' J2. 單一大體積光學(xué)腔
: J/ w9 D* Y$ @3. 微腔陣列
% S, x8 i; E8 C8 O
8 C9 E  ^. h, \8 ]/ R2 `) @
; d0 Z/ J; o! ]! o# s- S圖2
1 T6 N+ x/ r) H0 Q( s. k) p* {" s  U' n1 j% E
圖2展示了兩個(gè)為容錯(cuò)通信設(shè)計(jì)的模塊示意圖。每個(gè)模塊包含一個(gè)使用原子陣列實(shí)現(xiàn)的表面碼片段。模塊通過一種光子互連選項(xiàng)生成的貝爾對(duì)使用遠(yuǎn)程量子門進(jìn)行連接。. g7 }! X% b6 q7 n! L# q6 N$ M: {
( C6 T. g, O. Z+ a; T
讓我們?cè)敿?xì)研究每種方法:" d3 o3 ]% W' J5 Q0 K; Q2 _  k, C
1. 自由空間收集& v9 X/ J$ V5 e( U: e! y
這種設(shè)計(jì)使用大數(shù)值孔徑(NA)透鏡和探測器陣列來遠(yuǎn)程糾纏原子。雖然每個(gè)原子的糾纏生成率相對(duì)較低(約200 Hz),但通過多路復(fù)用可以實(shí)現(xiàn)非常高的總率。  x" C5 t. `3 J
3 z& x+ o  B$ h  ~$ U5 H3 Z
主要參數(shù):: B- a8 i3 ?! M0 W
  • 收集效率(ηlens):0.12
  • 探測效率(ηdet):0.7
  • 原子-原子糾纏概率(Paa):0.0035
  • 貝爾對(duì)生成時(shí)間:4.6毫秒/原子
    3 Z$ s, `3 L. h' Q0 c! }0 q, k

    ' Y8 l1 e* s0 o# m
    6 P; B: E4 H# {2 z
    2. 單一大體積光學(xué)腔7 Z7 a! _% d2 D+ `. {
    這種方法使用單一光學(xué)腔來增強(qiáng)從原子收集的效率。腔設(shè)計(jì)基于Young等人提出的"中等近共焦"腔。
    ; p: p# b% p. m8 u
    & l- S- u& R, O  j$ V8 C! f3 M主要參數(shù):
    % j1 l, ?: ~& \5 C. R& H
  • 腔長:4毫米
  • 腔腰:5微米
  • 收集效率(ηcav):0.66
  • 原子-原子糾纏概率(Paa):0.1
  • 最大貝爾對(duì)生成率:約1 MHz
    ( C! H0 g) \! y* X2 A3 e7 h
    " a* Y8 ]$ m" g5 u, ]) Z* l
    - v, s( P. S" J& K% z" @: C9 a" }% M
    3. 微腔陣列5 T8 f. s* D( s. j# [' U
    這種設(shè)計(jì)結(jié)合了自由空間方法的并行性和光學(xué)腔的速度,利用了光學(xué)微腔陣列。
    8 {0 m; j) c- I2 L2 A6 s! J) o' u4 {6 Y! J
    主要參數(shù):
    7 ^; I0 b" v+ b3 X+ _) o+ [7 ]  W
  • 腔數(shù)量:30
  • 腔長:90微米
  • 腔腰:2.5微米
  • 收集效率(ηcav):0.98
  • 原子-原子糾纏概率(Paa):0.24
  • 最大貝爾對(duì)生成率:約50 MHz
    4 n6 D8 H: T4 k% k
    ; ?/ e; {; |" }+ M, a2 D- ^

    2 S; y; [9 |3 Z3 {( w/ W8 {; U方法比較. Q  s7 s1 b! z. b$ u, i* h
    7 i& @9 D$ W. p3 T' m
    圖3:不同互連設(shè)計(jì)的遠(yuǎn)程貝爾對(duì)生成率與通信量子比特?cái)?shù)量的關(guān)系。2 R9 x4 a6 W8 r  p' [0 M: T, r9 ~0 V- q

    2 _: L$ r0 K. J# ~6 D1 H. m: o圖3比較了每種方法可達(dá)到的貝爾對(duì)生成率,作為通信量子比特?cái)?shù)量的函數(shù)。自由空間方法(綠色點(diǎn)劃線)由于較低的收集效率,需要最多的量子比特來達(dá)到給定的率。單腔設(shè)計(jì)(橙色虛線)以較少的量子比特達(dá)到更高的率,但由于尋址光束切換時(shí)間的限制,在約160個(gè)量子比特處趨于平穩(wěn)。微腔陣列(紫色實(shí)線)達(dá)到最高的率,僅受腔數(shù)量和尋址速度的限制。
    / k) L( T% W6 Y: _" w, J
    , G: H0 h8 x% ], H* }' _虛線黑線表示為距離L=20的邏輯量子比特每2毫秒執(zhí)行一次糾錯(cuò)循環(huán)所需的率,滿足T/τdec = 10-3的要求,其中T是循環(huán)時(shí)間,τdec是退相干時(shí)間。
    ; p4 v# R1 T* L& u  H1 b- g5 s8 K; o
    珀塞爾增強(qiáng)的光學(xué)抽運(yùn)2 @. W( N+ |( X' D6 ~' q# E
    為進(jìn)一步提高貝爾對(duì)生成速度,我們提出了一種腔增強(qiáng)的光學(xué)抽運(yùn)方案,用于快速態(tài)制備。這種技術(shù)可以將光學(xué)抽運(yùn)時(shí)間從6微秒減少到100納秒,保真度超過99%。
      D  P) e" f% r" t9 j- g6 K, A- ~9 ^3 }# y' O, u3 T( y  E, y

    7 y, h# W  Q/ X# b" h圖40 b& ~9 f% c9 }8 O4 K2 R5 j
    圖4展示了銣-87中快速態(tài)制備的腔增強(qiáng)光學(xué)抽運(yùn)方案。原子被放置在一個(gè)光學(xué)腔中,該腔在D1和D2躍遷上都有共振。從側(cè)面施加這些躍遷的驅(qū)動(dòng),以執(zhí)行快速光學(xué)抽運(yùn)到所需狀態(tài)。4 S. k4 b4 G! I5 o/ @5 k
    7 S; @  T  l  b1 g3 j! h- V
    這種抽運(yùn)方案允許原子在腔模式中進(jìn)行多次糾纏嘗試,有效地將糾纏生成率提高了腔合作度的倍數(shù)。
    1 P+ g6 O% B) a: P
    & M: U8 Z1 V* O超級(jí)模塊和可擴(kuò)展性
    $ N1 l' O6 P0 b( [為了減少大規(guī)模量子計(jì)算所需的模塊總數(shù),作者提出了超級(jí)模塊的概念。這些超級(jí)模塊由單個(gè)真空室中的多個(gè)原子陣列組成,通過光學(xué)晶格傳送帶連接。
    : b2 R" E* h9 M# I% |+ d& G; _; l
    2 g) k% H5 v4 A0 y. H& X超級(jí)模塊的主要特點(diǎn):! q' q. L* G/ B- P2 n
  • 每個(gè)子模塊有獨(dú)立的控制和顯微鏡
  • 通過原子傳輸實(shí)現(xiàn)子模塊間快速、高保真度的通信
  • 使用并行化的局部里德伯門確定性地創(chuàng)建貝爾對(duì)
  • 使用光學(xué)晶格傳送帶將每對(duì)中的一個(gè)量子比特傳輸?shù)竭h(yuǎn)處的陣列
      A/ {  z) t6 w! Z2 M$ T2 H  G/ M

    + b0 U+ {! ^1 a+ y0 O. _這種方法的主要挑戰(zhàn)是在原子傳輸過程中保持高保真度?紤]到傳送帶速度限制在約1微米/微秒以防止過度加熱,相距10厘米的陣列間的傳輸時(shí)間約為100毫秒。這導(dǎo)致的退相干低于之前建立的10%貝爾對(duì)閾值。
    3 c. ]4 J1 r2 X5 d3 V$ T" l4 I6 p$ b6 e! ?* e) c: L
    結(jié)論( k/ K+ v4 Y' y' S
    作者提出了使用模塊化架構(gòu)和光子互連來擴(kuò)展錯(cuò)誤糾正中性原子量子處理器的容錯(cuò)路徑。通過利用表面碼對(duì)邊界噪聲的魯棒性,我們表明局部里德伯門錯(cuò)誤低于1%和非局部貝爾對(duì)錯(cuò)誤低于10%時(shí),容錯(cuò)通信是可能的。這些要求在當(dāng)前和近期的中性原子技術(shù)范圍內(nèi)。
    . t2 P: G3 B& |3 O% l2 A. o: n( ?* Q6 H* n- L' g  `8 p
    剩下的主要挑戰(zhàn)是實(shí)現(xiàn)足夠快的貝爾對(duì)生成率。我們提出并分析了幾種有前途的方法,使用自由空間收集、大體積光學(xué)腔和微腔陣列。每種設(shè)計(jì)在通信速度和量子比特開銷之間提供了不同的權(quán)衡。
    5 n) v$ W3 g1 x/ D3 }% z
    . a* L* v2 T& r通過以下技術(shù)可能實(shí)現(xiàn)進(jìn)一步的改進(jìn):
    / g8 i5 {8 f3 _% P" V
  • 將物理貝爾對(duì)注入邏輯量子比特
  • 運(yùn)行更復(fù)雜的提純方案
  • 在模塊間使用橫向門
  • 利用算法級(jí)容錯(cuò)
    ; M1 R" c1 [8 Q

    2 z- Y9 Y2 Y6 S' t- k: q. a通過降低通信保真度的門檻,并概述了實(shí)現(xiàn)足夠快的光子互連的多種途徑,這項(xiàng)分析激勵(lì)了在近期實(shí)現(xiàn)和探索滿足可擴(kuò)展容錯(cuò)要求的網(wǎng)絡(luò)化邏輯量子處理器。
    ; j; P, O- ]$ M! n3 `7 ^* q8 o- a" @  y3 k* Z! d
    隨著中性原子量子計(jì)算研究的不斷進(jìn)步,可以期待看到越來越強(qiáng)大和可擴(kuò)展的量子設(shè)備,這些設(shè)備利用了這個(gè)平臺(tái)的獨(dú)特優(yōu)勢。高保真度局部操作、長相干時(shí)間和高效光子接口的結(jié)合,使中性原子陣列成為在未來幾年實(shí)現(xiàn)大規(guī)模容錯(cuò)量子計(jì)算機(jī)的有希望的候選者。
    % [4 h. {9 \$ @/ b. A# S. ~6 K' A參考文獻(xiàn)[1]J. Sinclair et al., "Fault-tolerant optical interconnects for neutral-atom arrays," arXiv:2408.08955v1 [quant-ph], Aug. 2024.
    1 h% ?8 k" \: l4 {
    7 C' f9 j  q6 F& a8 _$ P! @) R( M% i6 S; B- C* U9 c( R
    - END -: c8 P& w' [8 P& |' J9 ]
    ! w. H1 P" |' t% w6 j; U
    軟件申請(qǐng)我們歡迎化合物/硅基光電子芯片的研究人員和工程師申請(qǐng)?bào)w驗(yàn)免費(fèi)版PIC Studio軟件。無論是研究還是商業(yè)應(yīng)用,PIC Studio都可提升您的工作效能。( j, B0 e  y; K3 H' P: ]' _) h1 M7 V
    點(diǎn)擊左下角"閱讀原文"馬上申請(qǐng)0 R% R6 j2 _- K! h8 M
    / O8 q2 Y% \& p/ c  q5 h. {
    歡迎轉(zhuǎn)載
    * |; D9 R2 Q% `/ K, y4 v, u( ?0 k* P3 j# `  r# d  f7 h- R% c
    轉(zhuǎn)載請(qǐng)注明出處,請(qǐng)勿修改內(nèi)容和刪除作者信息!+ H; X3 R; {* D0 d: K! G

    ' x. n% c/ j  h! d0 g" I: X' G* y
    / A( g+ ^% |9 L+ @& w: S& T: j
    , I- w8 C: r& f0 N4 E  |& M6 Z* M
    ! R, Q6 j! d, H9 C- d) d; A/ t
    9 R5 Q( F" o5 S  k
    關(guān)注我們9 S  o! P, b4 I. E  `! r6 v

    , W" E: g& V9 P
    1 x; w2 B# p8 }& N

    2 g. Q( J' P$ N0 c
    8 M- `, Y" I5 X( r# c& {9 o( r
    * u5 ?! E1 Q% G, t/ N9 P6 N

    : G  G% v5 N, i) e- ]9 o" J3 ~
    ( U8 I: v% S4 r' J4 u
                          & h6 V; ~: B; p) A; j2 L: `

    / N5 l1 k/ ^0 e. n/ W. k5 Q- H

    7 X# U7 z$ B! n: {+ D$ ~0 |' n1 K: u! y  o8 j: y4 e. W
    關(guān)于我們:
    * l( q- M& t3 @$ ?# U9 T深圳逍遙科技有限公司(Latitude Design Automation Inc.)是一家專注于半導(dǎo)體芯片設(shè)計(jì)自動(dòng)化(EDA)的高科技軟件公司。我們自主開發(fā)特色工藝芯片設(shè)計(jì)和仿真軟件,提供成熟的設(shè)計(jì)解決方案如PIC Studio、MEMS Studio和Meta Studio,分別針對(duì)光電芯片、微機(jī)電系統(tǒng)、超透鏡的設(shè)計(jì)與仿真。我們提供特色工藝的半導(dǎo)體芯片集成電路版圖、IP和PDK工程服務(wù),廣泛服務(wù)于光通訊、光計(jì)算、光量子通信和微納光子器件領(lǐng)域的頭部客戶。逍遙科技與國內(nèi)外晶圓代工廠及硅光/MEMS中試線合作,推動(dòng)特色工藝半導(dǎo)體產(chǎn)業(yè)鏈發(fā)展,致力于為客戶提供前沿技術(shù)與服務(wù)。- s- B4 y" T5 g' G  {

    3 A7 x/ r" Y+ H) x# A/ u$ }http://www.latitudeda.com/, q5 Y# Z2 y( g6 o5 _; N; W
    (點(diǎn)擊上方名片關(guān)注我們,發(fā)現(xiàn)更多精彩內(nèi)容)
  • 發(fā)表回復(fù)

    本版積分規(guī)則

    關(guān)閉

    站長推薦上一條 /1 下一條


    聯(lián)系客服 關(guān)注微信 下載APP 返回頂部 返回列表